Авторефераты по всем темам  >>  Авторефераты по техническим специальностям

На правах рукописи

АМИРОВ ИЛЬДАР ИСКАНДЕРОВИЧ

ПЛАЗМЕННЫЕ ПРОЦЕССЫ ФОРМИРОВАНИЯ ВЫСОКОАСПЕКТНЫХ СТРУКТУР ДЛЯ МИКРО-НАНОМЕХАНИЧЕСКИХ УСТРОЙСТВ

Специальность:

05.27.01. - Твердотельная электроника, радиоэлектронные компоненты, микро- и наноэлектроника, приборы на квантовых эффектах

Автореферат диссертации на соискание ученой степени доктора физико-математических наук

Москва 2010

Работа выполнена в Ярославском филиале Учреждения Российской академии наук Физико-технологического института РАН

Официальные оппоненты: доктор физико-математических наук Юрий Анатольевич Лебедев доктор физико-математических наук Черныш Владимир Савельевич доктор технических наук Шелепин Николай Алексеевич

Ведущая организация: Учреждение Российской академии наук Институт проблем технологии микроэлектроники и особочистых материалов РАН

Защита состоится У 23 Ф декабря 2010 г. в 14 час.

на заседании диссертационного совета Д 002.204.01 в Учреждении Российской академии наук Физико-технологическом институте РАН по адресу 117218, Москва, Нахимовский проспект, д.36, корп.1.

С диссертацией можно ознакомиться в библиотеке Учреждении Российской академии наук Физико-технологическом институте РАН.

Автореферат разослан У Ф г.

Ученый секретарь диссертационного совета Д 002.204.кандидат физико-математических наук В.В.Вьюрков ОБЩАЯ ХАРАКТЕРИСТИКИ РАБОТЫ

Актуальность проблемы Плазменные методы формирования микро- и наноструктур (МН) на основе плазмохимических процессов травления и осаждения являются ключевыми в технологии наноэлектроники и микро- наносистемной техники. На современном этапе развитие плазменных процессов наноэлектроники связано с разработкой интегрированных процессов травления, обусловленных использованием новых материалов при изготовлении схем нового поколения. При этом задача точного переноса рисунка маски в нижележащий слой методом анизотропного травления из-за уменьшения элементов интегральных схем до нанометровых размеров и увеличения вследствие этого аспектного травления формируемых наноструктур резко усложнилась. При их травлении возникли новые проблемы, связанные с искажением их профиля. Решение связано с развитием многостадийных интегрированных плазменных процессов с учетом процессов осаждения, которые, являясь процессом противоположным травлению, могут скорректировать негативные эффекты. Кроме того, с использованием таких процессов можно получать структуры с размерами элементов меньше, чем в исходной маске. К началу настоящей работы в научной печати отсутствовала информация по формированию таких структур. Только в последнее время с их использованием были получены 24 нм элементы при начальных литографических размерах 60 нм.

Если в технологии наноэлектроники с помощью плазменных процессов необходимо было осуществить точный перенос рисунка маски в нижележащий слой, то общая задача - формирование высокоаспектных (ВА) наноструктур заданного вида ставится в нанотехнологии. Сочетая методы травления и осаждения, можно формировать ВА МН структуры, отличающиеся от рисунка исходной маски. Разработка таких процессов невозможна без понимания механизмов их формирования..

В технологии микросистемной техники создание высокоаспектных (А>10) и сверхвысокоаспектных трехмерных микроструктур в Si (A>50) необходимо для создания высокочувствительных инерционных датчиков, микроконденсаторов и других приборов. Эта задача решается с использованием циклических процессов травления/пассивация во фторсодержащей плазме. Точный перенос рисунка маски в Si обуславливается балансом процессов осаждения/травления на дне и боковой поверхности структуры. Развитие таких процессов связано с требованием управления профилем формируемых ВА микроструктур.

Другое направление развития методов наноструктурирования поверхности, основано на процессах самоорганизации, когда на поверхности материала в реактивной плазме при определенных условиях происходит самоформирование НМ структур, таких как наноиглы, нанопроволоки и нанотрубки. Реактивная плазма является уникальной средой, в которой можно реализовать концепцию строительных блоков, когда формирование НМ структур осуществляется в две стадии. На первой стадии происходит подготовка поверхности и создание определенных блоков (тяжелых радикалов, кластеров), а на другой стадии происходит формирование из них наноструктур. Их формирование является центральной проблемой наноэлектроники и нанотехнологии.

Таким образом, задача формирования высокоаспектных, трехмерных НМ структур в многостадийных, циклических плазменных процессах является актуальной в микро- и нанотехнологии.

Целью работы являлось разработка физических основ плазменного микрои наноструктурирования поверхности на основе исследований механизмов формирования высокоаспектных микро-наноструктур в многостадийных травление/осаждение процессах в неравновесной химически активной плазме Для достижения этой цели было необходимо:

- исследовать параметры плотной химически активной плазмы с независимым управлением потоком энергией ионов в реакторе, разработать методы контроля основных параметров плазмы;

- исследовать гетерогенные процессы взаимодействия химически активной плазмы с поверхностью Si, SiO2, полимерных пленок и выявить основные особенности процессов травления, связанные с формированием ВА микронаноструктур;

- разработать программный комплекс моделирования формирования высокоаспектных микро-наноструктур в плазменных процессах травления, в том числе в многостадийных процессах травление/осаждение и провести моделирование таких процессов;

- исследовать механизмы формирования и самоформирования микронаноструктур на поверхности материалов, разработать модели ионностимулированных плазменных процессов травления материалов и осаждения полимерной пленки на поверхности во фторсодержащей плазме и на их основе разработать новые методы формирования ВА микро-наноструктур.

Научная новизна и достоверность полученных результатов В диссертационной работе впервые получены следующие новые результаты:

1. Исследованы параметры химически активной плазмы Ar, O2 ВЧ индукционного разряда низкого давления в неоднородном магнитном поле с использованием зондового метода и метода измерения и контроля потока ионов падающих на поверхность на основе измерений постоянного потенциала смещения и ВЧ мощности, подаваемой на подложку с позиции использования данного типа реактора в микро- и нанотехнологии. Показано, что при определенной конфигурации и напряженности магнитного поля достигается высокая плотность и однородность ионного потока на подложку.

2. Предложен метод управления ионным и радикальным составом фторуглеродной плазмы путем изменения коэффициентов рождения и гибели радикалов на терморегулируемых стенках внутреннего экрана реактора. Показано, что в реакторе с горячими стенками повышается селективность травления SiO2/Si более чем в два раза.

3. Методом лазерной термометрии определены коэффициенты передачи энергии ионов поверхности Si, SiO2, Si3N4 в плазме инертных и химически активных газов. На основании данных коэффициента передачи энергии ионов (Еi<100 эВ) атомам поверхности был сделан вывод, что влияние отраженных частиц на формирование профиля травления структур незначительно.

4. На основе метода ячеек и метода Монте-Карло представления потока ионов и радикалов плазмы плазме, моделей ионно-стимулированного, радикального травления и осаждения фторуглеродной полимерной пленки разработан новый подход к моделирования формирования ВА МН структур в плазменных процессах микро-и наноструктурирования поверхности.

5. Исследованы ионно-инициированне процессы травления полимерных пленок на основе новолака, ПММА, полиимида, плазмополимеризованных пленок в кислородсодержащей плазме низкого давления. Разработан сухой метод формирования субмикронных структур в полимерной пленке с использованием концепции трехслойного резиста. Представлен критерий реализации анизотропного травления полимерной пленки в кислородсодержащей плазме;

6. Исследованы процессы травления SiO2, Si во фторуглеродной плазме CHF3, CHF3/H2, С4F8, C4F8/Ar, C4F8/SF6, на основе которых разработаны критерии реализации селективного и анизотропного травления высокоаспектных Si, SiOМН структур во фторуглеродной плазме. Представлены механизмы и проведено моделированию процессов ионно-стимулированного травления и осаждения фторуглеродной пленки;

7. Разработан комбинированный осаждение/травление метод формирования НМ канавок с размерами меньше, чем их размеры в маске. Показана принципиальная возможность реализации формирования наноструктур в слое SiO2 c использованием такого метода;

8. Разработаны методы формирования Si микроструктур со сверхвысоким аспектным отношением (A>50) в двухстадийных, циклических процессах травление/пассивация в плазме C4F8/SF6. Выявлены основные эффекты формирования и проведено моделирование формирования таких структур;

Достоверность экспериментальных результатов исследования обеспечивается использованием независимых диагностических методик. Они подтверждаются сравнением полученных данных с результатами других экспериментальных исследований проводимых в России и за рубежом, а также численными оценками.

Это свидетельствует, что полученные результаты является обоснованными и достоверными.

Основные положения, выносимые на защиту:

1. Показано, что методика измерения потока ионов падающих на поверхность на основе измерений постоянного потенциала смещения и ВЧ мощности в реакторе плотной химически активной плазмы ВЧ индукционного разряда является эффективным способом контроля плазменных процессов формирования ВА микронаноструктур..

2. Результаты исследования коэффициента передачи энергии низкоэнергетических ионов (Ei<200 эВ) атомам поверхности Si, SiO2, Si3N4 в плазме Ar, О2 и С4F8;

3. Результаты экспериментального исследования ионно-инициированного травления полимерных пленок в кислородсодержащей плазме низкого давления.

Рециклический механизм образования атомов кислорода на стенках реактора.

Критерий реализации ионно-инициированного, анизотропного травления полимерной пленки.

4. Результаты экспериментального исследования высокоаспектного травления Si, SiO2 во фторуглеродной плазме в реакторе с горячими стенками. Показано, что увеличение температуры стенок экрана до 500 К в реакторе в результате их нагрева плазмой во фторуглеродной плазме С4F8 ведет к увеличению концентрации легких радикалов СF2, потока ионов СF+, к увеличению селективности травления SiO2/Si.

Механизм химического распыления SiO2 с учетом фторуглеродной, наноструктурированной фторсодержащей пленки на его поверхности.

5. Метод моделирования плазменных процессов травления и осаждения, основанный на методе ячеек для эволюции профиля поверхности и методе МонтеКарло генерации потоков плазмы. Результаты моделирования ионностимулированных процессов осаждения и травления фторуглеродной полимерной пленки в плазме С4F8 и SF6.

6. Эффекты и методы формирования Si микроструктур со сверхвысоким аспектным отношением (A>50) в циклическом, травление/пассивация процессе в плазме С4F8/SF6, результаты моделирования такого процесса.

7. Механизм самоформирования фторуглеродных нанонитей в циклическом двухстадийном травление/пассивация процессе.

Научно-практическая ценность результатов работы заключается в что в ней представлены новый подход к формированию высокоаспектных микро и наноструктур на поверхности материалов в том числе с использованием многостадийных процессов плазменного травления/осаждения. С его помощью можно формировать МН структуры с размерами элементов меньшими, чем размеры маски. Метод может быть использованы при формировании НМ структур не только на поверхности Si и SiO2, но также и на других материалах.

Во-вторых, показана принципиальная возможность управления ионным и радикальным составом фторуглеродной плазмы в реакторе, варьируя скорость рождения и гибели радикалов путем изменения температуры стенки внутреннего экрана в реакторе, нагреваемого плазмой. В реакторе с горячими стенками увеличилась селективность травления SiO2 по отношению к Si, фоторезисту и не происходит осаждения фторуглеродной пленки на стенках реактора.

Разработанные методы формирования высокоаспектных МН структур на поверхности Si, SiO2, полимерных пленок в высокоплотной плазме могут быть использованы при разработке плазменных процессов травления в технологии микро-и наноэлектроники и микро- и наносистемной техники.

Апробация работы Основные результаты работы докладывались на международных конференциях: Международной конференции УВзаимодействие ионов с поверхностьюФ Звенигород. 1997, 2005, 8 Международного Симпозиума УТонкие пленки в электроникеФ Харьков. 1997, 5 European Conference on Thermal Plasma Processes. St. Peterburg 1998, Международной конференции УМикроэлектроника и информатикаФ Москва, Зеленоград. 1997, XXV Inernational Conference on Phenomena in Ionized Gases Nagoya, Japan, 2001, Всероссийской научнотехнической конференции Микро-и наноэлектроника Звенигород. 1998, Международной конференции по актуальным проблемам физики и диагностики кремния, нанометровых структур и приборов на его основе. КРЕМНИЙ -2008, Международной конференции по теоретической и прикладной плазмохимии.

Плеcc, Иваново, Россия, 2003, 2005, 2008 гг, Международных конференциях УInernational Conference Micro-and nanoelectronicsФ Zvenigorod, Russia, 2003, 2005, 2007, 2009 гг.

Публикации Содержание диссертации опубликовано в 48 работах, включая 21 статью в рекомендованных ВАК в отечественных и зарубежных научных журналах, труды российских и международных конференций и симпозиумов, а также 2Цх патентов РФ на изобретения.

ичный вклад автора Постановка задачи, разработка методов формирования МН структур, экспериментальные исследования, анализ экспериментальных данных и представление механизмов формирования МН структур были проведены лично автором диссертационной работы. Совместно с соавторами были созданы реакторы высокоплотной плазмы, проведены исследования ее параметров зондовыми и спектральными методами, а также проведены измерения состава пленок методом РФЭС.

Объем и структура диссертации Основное содержание диссертации изложено на 302 страницах машинописного текста, включая 98 рисунков. Работа состоит из введения, семи глав и заключения, в котором сформулированы основные выводы. Список цитируемой литературы содержит 428 наименований.

КРАТКОЕ СОДЕРЖАНИЕ РАБОТЫ

Во введении обоснована актуальность темы диссертации, определены основные цели и задачи исследования, показана практическая ценность работы, приведено краткое содержание работы.

В первой главе (вводная) представлен обзор работ, в основном, за последние 10 лет, посвященных исследованию и разработке плазменных методов формирования микро- и наноструктур в Si, SiO2. Рассмотрены процессы и методы плазмохимического наноразмерного травления Si, SiO2 в реакторах нового поколения, в которых генерируется плотная фторуглеродная (C4F8, CHF3 и др.) плазма ВЧ индукционного разряда низкого давления. Приведены существующие методы формирования высокоаспектных МН структур в Si, SiO2 и полимерных пленках используемые в технологии наноэлектроники и микросистемной техники.

Во второй главе приводятся результаты исследований параметров плазмы Ar, O2 ВЧИ разряда в неоднородном магнитном поле в реакторе с внутренним экраном, дается его характеристика как орудия формирования МН структур.

Приведены результаты исследования влияния температуры экрана в реакционной камере реактора на параметры фторуглеродной плазмы. Приводится обоснование методики контроля потока ионов падающих на поверхность на основе измерений постоянного потенциала смещения и ВЧ мощности на подложку, а также результаты исследования передачи энергии ионов поверхности методом лазерной сканирующей калориметрии.

Определение электронных параметров плотной плазмы Ar, O2 ВЧИ разряда низкого давления (Р<1Па) проводились в реакторе в неоднородном магнитом поле, конструкция которого приведена на рис.1а. Большинство экспериментов проводилось в этом типе реактора с нагреваемым внутренним экраном. В реакторе второго типа, с приближенной зоной генерации плазмы к подложке (рис.1б) проводились исследования глубокого, скоростного травления Si. Реактор 1а состоит из двух вертикальных разрядной и реакционной камер. Разряд возбуждался в кварцевом стакане при подаче ВЧ напряжения (13,56 Мгц) на индуктор от генератора мощностью 1 кВт. Генерация плазмы осуществлялась в неоднородном магнитном поле одной или двух катушек. Из разрядной камеры плазма распространялась в реакционную камеру, в которой на расстоянии 30 см от реакционной камеры находился держатель подложки. На него, с целью управления энергией ионов подавалось ВЧ напряжение от отдельного генератора.

Возникающий при этом отрицательный потенциал самосмещения определял среднюю энергию падающих ионов. Плотность ионного потока определялась вкладываемой ВЧ мощностью на индуктор и прикладываемым магнитным полем.

В реакционной камере устанавливался заземленный алюминиевый, нагреваемый в плазме экран. Температура экрана определялась термопарой.

Электронные параметры плазмы определялись зондовым методом.

Подвижный цилиндрический вольфрамовый зонд (диаметр зонда d=0.1 мм, длина l=5-7 мм) находился на раcстоянии 10 мм от поверхности подложки. Обработка вольт-амперных характеристик (ВАХ) зонда с целью измерения температуры электронов Те и их концентрации ne осуществлялась по известной методике.

Исследования влияния магнитного поля на характеристики плазмы показали, что в реакторе без экрана средняя плотность ионного тока на подложку в плазме Ar интенсивность ее свечения резко увеличивались с увеличением тока в электромагнитных катушках. При больших значениях тока (I2=I2=1A) наблюдалась фокусировка ионного потока на электрод. С помощью подвижного Газ Разрядная камера ГРеакционная 1.0 кВт камера Al экран а) CьFт Спектрометр Г600 Вт ВЧГ б) Спектрометр ТБН ВЧГ Рис.1 Схемы реакторов плотной плазмы. а) - реактор с нагреваемыми стенками экрана, б) реактор с приближенной зоной генерации плазмы.

цилиндрического зонда была определена оптимальная конфигурации магнитного поля, когда неравномерность ионного потока на подложку (диаметр 150 мм) при высокой ее плотности не превышала 5%. Индукция магнитного поля над электродом при этом равнялась 0.3 mTл. При такой конфигурации магнитного поля определялось пространственное распределение Те (по наклону логарифмической зависимости электронного тока от потенциала смещения), концентрация электронов (по электронному току насыщения) и ионов (по формуле орбитального движения притягивающихся частиц), а также плотность ионного потока на подложку плазме Ar. В плазме Ar с увеличением давления от 0,14 Па до 20 Па Те уменьшались с 7,8 до 1,5 эВ, а ne с 8х1010 до 5 х109 см-3. Определение Те, ne осуществлялось в плазме Ar и О2 для характеризации плазмы в созданном реакторе, рассматриваемой как орудия формирования МН структур.

С использованием зонда было определено, что при высокой плотности плазмы приложенная ВЧ мощность на электрод не влияла на его вольт-амперную характеристику. Интенсивность свечения плазмы разряда также не менялась. Эти результаты могли свидетельствовать, что вся вложенная в разряд ВЧ мощность смещения Wсм затрачивалась на ускорение ионов в приэлектродном слое Wсм = jiЕiSэл, где Ei - средняя энергия ионов, ji - плотность ионного тока, Sэл -площадь электрода. Так как средняя энергия ионов определяется выражением:Ei=е(Up-Uсм), то зависимость отрицательного потенциала смещения Uсм от приложенной ВЧ мощности смещения Wсм должна быть линейной, что и наблюдалось экспериментально. Откуда ji=Wсм/SэлUсм. Подтверждением правильности полученной формулы являлось согласие данных измерений ji по данной формуле и с помощью зонда. Возможность определения плотности ионного потока из зависимости отрицательного потенциала смещения от Wсм является очень важной, особенно в случае химически активной плазмы, в которой использование зондового метода затруднено. Измерения ji в плазме разного состава при одинаковых внешних параметрах разряда показали, что плотность ионного тока на подложку уменьшалась с увеличением электроотрицательности плазмы.

Во втором параграфе приведены исследования влияние температуры внутреннего экрана на радикальный и ионный состав фторуглеродной плазмы С4F8.

Оценки из данных оптической актинометрии показывали, что с увеличением температуры стенок концентрация легких радикалов С2, СF2 увеличивалась более чем в 10 раз. Плотность ионного потока на подложку при этом уменьшалась почти в два раза. В плазме С4F8+10% Ar c увеличением температуры первоначально очищенных стенок реактора наблюдалось изменение давление газа и спектра плазмы. Причем изменение давления было различным в зависимости от расхода газа и температуры стенок (рис.2). Такой характер изменения давления связан с процессами рождения и гибели частиц на стенках реактора. В начальные моменты времени происходило осаждение ФУП на стенках реактора и по мере их покрытия пленкой и увеличения температуры стенок наблюдалось увеличение давления.

Более слабое начальное падение давления при низком расходе С4F8 (кривая 1), свидетельствовало, что при данных условиях лимитирующей стадией являлось рождение химически активных частиц в объеме реактора. Рост давления обусловлен поступлением в объем реактора радикалов CF2 в результате уменьшения вероятности их гибели на горячих стенках. Об этом свидетельствовало Рис. 2. Изменение давления в Р, Па 1,реакторе во времени при 1,разной температуре стенок 0,реактора и расходе газа С4F8.

0, 1 - Q=10 нсм3/мин, Tст=3000,405 K, 2 - Q=40 нсм3/мин, Tст 0,=300-370 K. 3 - Q=40 нсм3/ 0,0 100 200 300 400 5мин, Tст =515-480 K.

t, c (нсм3/мин =sccm) изменение спектра плазмы во времени. В начальный момент времени интенсивность излучения полос радикалов CF2 (=257,3 нм) была минимальной, а на 8 минуте горения плазмы она увеличилась в несколько раз. При этом изменялись также интенсивности излучения полос молекул С3 (=405.1 нм), SiF (=432,6 нм) и С2 (=516.5 нм). Так как в плазме С4F8 наблюдалась корреляция между содержанием тяжелых радикалов СxFy и радикалов С2 и С3, то исходя из данных изменения интенсивности излучений полос радикалов был сделан вывод, что их образование происходило как на стенках реактора, так и в плазме.

Одинаково высокая интенсивность излучения радикалов С2 в реакторе с холодными и горячими стенками могла свидетельствовать, что скорость их гибели на стенках приблизительно одинакова и их концентрация обусловлена только скоростью их рождения в объеме.

В третьем параграфе главы описана методика и результаты исследования передачи энергии ионов в плазме Ar, O2, С4F8+Ar поверхности Si, SiO2, Si3N4.

Метод основывался на измерениях плотности мощности нагрева подложкикалориметра DT в зависимости от направляемой на него плотности мощности ионного потока Di. В качестве калориметра служила кремниевая пластина. Ее температура измерялась методом лазерной термометрии. Плотность мощности нагрева калориметра DT плазмой определялась из измерений кинетики нагрева подложек. DT(сh)(dT/dt), где с, , h - теплоемкость, плотность и толщина калориметра (толщина пластинки Si). Так как при подаче ВЧ мощности смещения на подложку она полностью затрачивалась на ускорение ионов в приэлектродном слое, то из полученной зависимости плотности мощности нагрева от мощности ВЧ смещения можно было определить коэффициент передачи энергии ионов поверхности. ki = Dо /Di, где Dо - приращение плотности мощности нагрева калориметра, Di - приращение плотности мощности ионного потока.

В плазме Ar, зависимости плотности мощности нагрева от плотности ВЧ мощности смещения были линейными независимо от рода пленки (Si3N4, SiO2) на поверхности калориметра (рис.3).

D, Вт /см0.о 0.Рис.3. Зависимость плотности 0.мощности нагрева калориметра 0.0.Si, покрытием Si3N4, SiO2 в 0.плазме Ar от удельной ВЧ 0.0.мощности при следующих 0.0.параметрах: 1 - Р=0.035 Па, 0.W=350 Вт, Q=5 нсм3/мин, 2 - 0.0.0 0.1 0.2 0.3 0.Р=0.07 Па, W=350 Вт, Q= Wsb, Вт /смнсм3/мин.

Коэффициент передачи энергии ионов атомам поверхности был не менее 0,5. В плазме O2 и C4F8 зависимости также были линейными. Но коэффициент передачи ki в плазме О2 был меньше и равнялся 0.38. В плазме C4F8 он был не менее 0.5. Таким образом, ввиду больших значений коэффициента передачи энергии ионов поверхности, был сделан вывод, что влиянием вторичных процессов, а именно влиянием отраженных частиц на формирование профиля травления структур можно пренебречь.

Третья глава диссертации посвящена исследованию процессов ионноинициированного травления полимерных пленок (ПП) полиимида, новолака, полиметилметакрилата и плазмополимеризованных пленок стирола, гексафторпропилена в кислородсодержащей плазме и методам формирования ВА субмикронных структур в полимерной пленке. При низких давления (Р<1 Па) и высокой плотности ионного потока, скорость травления пленок определялась плотностью мощности ионного потока и не зависела от температуры образца.

Скорости травления пленок (за исключением пленки ПММА) были близкими по величине. Слабое проявление эффекта загрузки при травлении ПП свидетельствовало, что процесс травления определялся реакциями с молекулярным кислородом, а не с атомами кислорода. Показано, что при ионно-инициированном травлении полимерных пленок большой площади поток продуктов реакций может превышать поток молекул кислорода. Из анализа всех данных, в том числе спектральных измерений, был сделан вывод, что эффект превышения потока продуктов реакции над потоком реагентов может объясняться участием вторичных радикалов ОН в процессе травления ПП и дополнительной генерацией кислорода в плазме в результате протекания гетерогенных реакций с участием СО на стенках разрядной камеры. Такой рециклический механизм образования активных частиц в плазме впервые был обнаружен при травлении полимерных пленок в кислородсодержащей плазме.

Ионно-стимулированный характер травления ПП в кислородсодержащей плазме свидетельствовал, что в такой плазме можно реализовать их анизотропное травление. Высокоанизотропное травление ПП можно получить в плазме O2+Ar при больших добавках аргона (>50%) и сравнительно высокой энергии ионов (Ei ~100 эв). При таких условиях наблюдалась сильная зависимость скорости травления от потенциала смещения, что является критерием реализации высокоанизотропного травления.

Коэффициент анизотропности травления А, равный 1-lo/hi, где lo - величина бокового подтравливания и hi - высота полученных структур определялся выражением: 1-Vo/Vi, где Vo-скорость травления полимера горизонтальном направлении и Vi-скорости травления в вертикальном направлении. При ионноинициированном механизме травления полимерной пленки скорость ее травления вертикальном направлении обусловлена плотностью мощности ионного потока.

Горизонтальная скорость травления полимерной пленки определяется изотропной составляющей, а также тепловой энергией ионов Ti (эВ). Хотя Vo намного меньше скорости травления ПП при плавающем потенциале поверхности Vf, степень 1,A, отн.ед 5 V i Vf 0,Рис.4. Зависимость степени анизотропности травления 0,фоторезиста (новолак) (1) и 0,относительной скорости его 0,травления (2) от энергии ионов в кислородной плазме O0 50 100 1+75%Ar.

E i, эВ анизотропности травления резиста при данной энергии бомбардирующих ионов можно оценить из соотношения Vi/Vf. Эксперименты показали, высокоанизотропное травление резиста (А=0,95) реализуется уже при отношении Vi/Vf равном 4.5. Степень анизотропности травления ПП повышается при увеличении содержания аргона в смеси О2/Ar, однако скорость травления при этом уменьшалась.

Близкие значения коэффиицента анизотропии А и скорости травления были получены и при травлении полиимидной (ПИ) пленки. Для формирования субмикронных канавок в ПИ пленке и определения степени анизотропности травления были приготовлены образцы с двухслойным резистом. В нем в качестве нижнего основного слоя использовалась пленка полиимида, а также плазмополимеризованные пленки стирола (ППC) и фторпропилена. Функции передающего слоя двухслойного резиста выполнял слой Ti ( толщина 40 нм) или слой аморфного кремния (толщина 0.1 мкм), нанесенный методом осаждения в плазме SiH4 или магнетронного нанесения. Далее на образцы наносили пленку электронорезиста ЭЛП-9 толщиной 0.4 мкм. Рисунок в пленке - систему параллельных полос с характерным размером элементов 0.3, 0.5, 1 мкм создавали методом электронолитографии, с помощью растрового электронного микроскопа РЭМ-401 (TESLA) (Ее=20 кэВ). Передачу рисунка в слой Ti или Si осуществлялось травлением структуры в плазме SF6/CHF3. Высокоанизотропное травление ПП проводилось в плазме O2+75%Ar. Примеры ВА структур в слое полиимида представлены на рис.5.

0.4 мкм 1 мкм а) б) Рис.5. Вид субмикронных структур в слое полиимида, сформированных с использованием двухслойной маски.

На основе метода формирования субмикронных структур в слое полимера, был разработан, основанный только на плазмохимических процессах травления и осаждения, сухой метод формирования структур. В основе метода лежит осаждение в процессе полимеризации в послесвечении плазмы электронночувствительного слоя полиметилметакрилата (ППММА) на промежуточный слой аморфного Si (толщина 100 нм).

При травлении пленки полиамидокислоты (ПАМК) в кислородной плазме был обнаружен эффект неустойчивого ее травления. Она проявлялась в возникновении в резкого максимума в зависимости скорости травления пленки ПАМК от времени, величина и время появления которого зависела от плотности мощности ионного потока (рис.6). Резкое увеличение скорости травления ПАМК во времени на начальном участке кинетической зависимости при разной энергии падающих ионов, обусловлено нагревом образца в плазме в процессе травления.

Однако не рост температуры является непосредственной причиной ускорения травления ПАМК, поскольку ионно-инициированный процесс травления не зависит от температуры. Быстрый рост температуры образца, возникающий в результате протекания экзотермических реакций окисления, ведет к ускорению протекания в объеме пленки ПАМК реакции циклодегидратации. Ее влияние на V, нм/с 10тр Рис. 6. Зависимость скорости травления пленки ПАМК толщиной 15 мкм (1-3) и 1мкм (4) в кислородной плазме от времени при разной энергии бомбардирующих ионов. 1 - 25, t2 - 85, 3 - 125, 4 - 200 эВ. Р=0.0 200 400 600 8Па, W=500 Вт.

t, c на процесс ионно-инициированного травления полимерной пленки обусловлено тем, что реакция циклодегидратации сопровождается образованием воды. Ее выделение из объема на поверхность пленки приводит к образованию на поверхности своеобразного кипящего слоя, который разрушает стационарный приповерхностный реакционный слой, в котором происходят реакции окисления и образуются летучие продукты реакций. Разрушение такой пленки приводит к увеличению эффективной толщины реакционного слоя и, следовательно, к увеличению реакции травления. Таким образом, между процессами травления и имидизации существует положительная обратная связь, в результате чего развивается цепной ионно-инициированный процесс травления и имидизации ПАМК. Представленный механизм подтверждается данными спектральных исследований, которые показали соответствующее изменение интенсивности полос излучения СO, OH в спектре плазмы в процессе травления пленки. Интерес к такой системе плазма/полимерная пленка обусловлен тем, что в результате протекания процесса имидизации-травления на поверхности образуются микро и наноструктуры.

В четвертой главе приведены результаты исследования процессов травления Si, SiO2, канавок субмикронных и нанометровых размеров в слое Si, SiOчерез Al и -Si маски в плотной плазме C4F8 и C4F8/Ar, С4F8/Н2, С4F8/SF6/Ar, СНF3/H2. На основе результатов исследования травления SiO2 во фторуглеродной плазме с добавками Ar представлен механизм его травления.

В первой части главы приведены результаты исследования травления SiOплазме C4F8 в реакторе с холодными и горячими стенками. Скорость травления SiO2 и Si в зависимости от потенциала смещения в реакторе с горячими стенками была ниже, чем с холодными (рис. 7). Но при этом резко возросла скорость Рис. 7. Зависимость скорости V, нм/мин тр травления SiO2 (1, 2), Si (3, 4) и 87осаждения ФУП (отрицательные 6500 значения) в плазме С4F8 в 4реакторе холодными (1, 3) 32(Т=70-100оС) с горячими (2, 4, 1Тс=240-270оС) стенками от -1потенциала смещения.

-2-300 Р=0,07 Па, W=1200 Вт. Q=40 60 80 100 120 140 1нсм3/мин.

- U, В см полимеризации и селективность травления. С увеличением их температуры до 450К селективность травления SiO2/Si в C4F8 плазме увеличилась в 2 раза и достигала 9-10. Такое увеличение селективности обусловлено разным радикальным и ионным составом плазмы в реакторе холодными и горячими стенками. В реакторе с горячими стенками основными являлись радикалы СF2 и ионы СF+ и поэтому высокая селективность травления SiO2 по отношению к Si, обусловлена их влиянием.

Более высокая селективность травления SiO2/Si была получена в реакторе с горячими стенками в плазме в смеси СHF3/Н2. Селективность травления возрастала с увеличением содержания водорода. При 40% добавке водорода содержании водорода селективность SiO2/Si достигала 25, а SiO2/фоторезист 16. Увеличение селективности травления обусловлено уменьшением скорости травления Si. Оно объяснялось потреблением атомов фтора в реакционном слое на поверхности Si в реакциях с атомами водорода с образованием НF. Кроме того, так как при добавлении водорода скорость образования фторуглеродной пленки увеличивалась, то рост селективности может быть обусловлен наличием более толстой фторуглеродной пленки на поверхности кремния в процессе его травления.

Улучшению селективности может способствовать также изменение структуры ионного потока. Известно, что при небольших добавках водорода в плазму основными ионами являются HF+. Полученные результаты свидетельствовали, что в реакторе с горячими стенками можно реализовать высокоселективное по отношению Si травление SiO2 во фторуглеродной плазме различного состава. В реакторе с горячими стенками (Тст=240-270 оС) селективность травления SiO2/Si и SiO2/резист их скорости травления не изменялись с увеличением содержания аргона в плазме до 90% и равнялась, соответственно, 90,5 и 4,50,5. Потенциал самосмещения при этом поддерживался равным -150 В.

Сильная зависимость скорости травления от потенциала смещения показывала, что травление SiO2 в такой плазме должно быть анизотропным в соответствии с критерием реализации анизотропного травления. Но этот критерий является только необходимым условием. Для реализации высокоаспектного и аспектнонезависимого травления SiO2 необходимо выполнение дополнительных условий.

Во второй части главы рассматривались условия реализации травления высокоаспектных субмикро-наноструктур в слое SiO2. В плазме CHF3+ 40% H2, в которой реализуется высокоселективное травление SiO2, глубина канавок шириной от 1,6 до 0,26 мкм были одинаковыми и составляли 1.2 мкм. Это свидетельствовало, что апертурного эффекта при травлении структур до аспектного отношения, равного 5, при условиях максимальной селективности Рис. 8 Вид канавок в SiOшириной 250 нм при травлении в плазме CHF3+ 40% H2. P=0,Па W=1500 Вт, Q=15 нсм3/мин, Uсм=-80 В.

травления не наблюдалось. Стенки канавки травления были с небольшим наклоном, обусловленным наклоном стенок резистивной маски (рис.8).

Для травления ВА наноструктур сильная пассивация является вредным фактором, так как происходит осаждение ФУП на боковых стенках маски или структур травления. Разбавление рабочего газа инертным газом и добавка СО, SFявляется эффективным способом предотвращения сильной полимеризации.

Формирование канавок в SiO2 нанометровой ширины (30 нм) проводилось в плазме CHF3+70% Ar с использованием маски из -Si толщиной 20 нм. Такую маску в слое аморфного кремния получали путем травления на нем нанорельефа SiN в плазме Cl2+Ar. Были получены канавки с аспектным отношением 4.5 (рис.9а).

Селективность травления SiO2/-Si равнялась 6. Более высокоаспектные структуры были получены при травлении таких же структур только в плазме С4F8+Ar+SFчерез маску Al (рис.9б).

Таким образом, полученные результаты свидетельствовали, что в реакторе с горячими стенками можно реализовать анизотропное и высокоселективное по отношению Si травление SiO2 во фторуглеродной плазме с оптимальным содержанием пассивирующих и травящих радикалов.

.

200 нм 100 нм а) б) Рис. 9. Профиль канавок шириной 30 нм, протравленных; а) через маску -Si в плазме СHF3+50%Ar и б) через Al маску в плазме С4F8+Ar+SF6.

Выбор плазмообразущего газа, условий проведения процесса для реализации высокоаспектного травления наноструктур основывался из представления механизма травления SiO2, который рассматривался в третьей части главы. В ней описывался механизм ионно-стимулированного травления SiO2 в плазме фторуглеродных газов. Основной, неясный до настоящего времени вопрос, механизма ионно-стимулированного травления SiO2 заключался в том, почему находящийся на его поверхности в процессе травления нанометровый (1-4 нм) слой фторуглеродной полимерной пленки (ФУП) не препятствовал проникновению низкоэнергетичных ионов (Еi<200 эВ) в нижележащий реакционный слой. Это можно объяснить, если допустить, что слой ФУП является пористым, а не сплошным и ионы проникают к нижележащему слою SiO2 без потери энергии.

Подтверждением такого влияния ионов являются специальный эксперимент, в котором травление SiO2 осуществлялся через толстый толщиной до 200 нм наноструктурированного слоя (НС) SiOFx. Было обнаружено, что толщина слоя SiO2 и находящегося на нем НС слоя до после 2 минутного травления в плазме С4F8+55%Ar уменьшилась на 470 нм, а толщина слоя SiOFx на 140 нм. При этом травление SiO2 с маскированной SiOFx пленкой происходило с той же скоростью, что и без пленки. Эксперимент показал, что наличие наноструктурированного слоя не препятствовало прохождению сквозь него ионов. Наноструктурированный SiOFx слой в виде нанопроволок был получен в Bosch-процессе. Он образовывался на поверхности SiO2 при его травлении в циклическом режиме травление/ пассивация (Bosch-процессе). Характерный размер нанопроволок составлял 20-1нм, а их аспектное отношение превышало 20.

Таким образом, одним из условий формирования ВА наноструткур в слое SiO2 является использование ионов инертного газа. В этом случае образование летучих продуктов SiF2 обусловлено реакцией атомов Si с горячими атомами фтора в реакционном слое, которые возникают в результате распада адсорбированных СFx радикалов во фторуглеродном слое при столкновении с ними Ar+ или какого- либо иона другого инертного газа. Травление SiO2 необходимо проводить в режиме химического распыления при энергиях ионов 100-140 эВ. В этом случае влияние отраженных частиц будет минимальным.

В пятой главе описывался созданный программный комплекс для моделирования формирования высокоаспектных микро- наноструктур в плазменных процессах травления и осаждения во фторсодержащей плазме, в том числе и циклическом травление/осаждение процессе (Bosch-процесс).

Разработанный метод моделирования основывался на методе ячеек и струнном методе представления профиля поверхности, методе Монте-Карло для генерации потоков плазмы. В основе метода лежали модели травления Si и ФУП в плазме SFи модели ионно-стимулированного осаждения фторуглеродной полимерной пленки (ФУП) в плазме С4F8 и ее травления в плазме SF6, необходимых для описания процессов формирования ВА в циклических процессах травление/осаждение в плазме.

В разработанном методе моделирования гетерогенных процессов в плазме поверхность раздела разбивалась на три сорта ячеек - газовые и поверхностные ячейки Si, ячейки маски (рис.10). В Si ячейке содержится 30 атомов Si. При падении частиц в ячейку в ней, в соответствии с типом частицы, проводилось моделирование осаждения или травления. В модели рассматривалось три сорта падающих частиц частиц: 1) травящие радикалы - атомы фтора, 2) осаждающиеся частицы - СFx радикалы и ионы SF5+ (плазма SF6 ) и СFx+ (плазма С4F8).

Моделирование начинается с определения точки и направление траектории падения частицы плазмы с линии границы движения частиц (рис. 10).

Рис. 10. Расчет траектории падения частицы плазмы на поверхность, построение аппроксимации профиля и определение точки и клетки пересечения.

Далее вычислялась точка её пересечения с границей поверхности травления.

Зная точку и угол попадания частицы, на основе заложенной модели проводился расчет частиц в клетке. Данная частица могла адсорбироваться, отразиться или выбить из поверхностного слоя другую частицу. Для аппроксимации клеточной поверхности, нахождения точек пересечения и вылета отражённых частиц, приведены и разобраны разные способы её построения (поверхностной струны рис.10) и найдены оптимальные алгоритмы. Использовались две аппроксимации - для падающих частиц и для отражённых частиц. Таким образом, точки при падении на поверхность частицы и точка вылета отражённой частицы с поверхности могли отличаться. При падении на поверхность реакционных радикалов СF2 и атомов F, в зависимости от их вероятности прилипания, они остаоставались в них или отражались по косинусному закону распределения. В случае прилипания образовывались реакционные ячейки, содержащие атомы Si, F, C или только Si и F. Они составляли поверхностный реакционный слой.

Реакционные ячейки могли превращаться также в полимерные ячейки, содержащие атомы F и C. Выбранное количество атомов и размер ячейки соответствовало средней толщине реакционного слоя равной 2.5 нм. При попадании активной частицы в клетку в ней рассчитывались химические реакции, в результате которых мог измениться её атомный состав. Считалось, что летучие продукты химических реакций не принимали дальнейшего участия в расчётах и беспрепятственно удалялись из пределов области моделирования (домена) (рис. 11).

Рис. 11. Представление области моделирования (домена) и перерасчета координат ячеек в систему координат XY.

Дискретность клеточного представления поверхности предполагала использование системы координат ij. Домен представлял собой матрицу [i j], и каждая клетка может быть легко найдена по её координатам [i, j].

Для отображения и расчета траекторий частиц и координат ячеек на плоскости использовалась декартовая система координат XY (). Ширина домена моделирования обычно составляла 1 мкм, а глубина 2 мкм (матрица размером в 400800 ячеек). Каждая ячейка матрицы хранила в себе информацию об её атомном составе: количество атомов Me, F, C, Si, общее количество атомов и другую информацию, необходимую для расчета баланса частиц и реакций.

Для контроля атомной плотности в поверхностных клетках профиля требовался пересчёт атомного баланса внутри ячеек и их соседей. При переполнении ячейки (количество атомов Nmax) клетка делилась и рядом с ней возникала новая ячейка. Общая блок-схема алгоритма метода моделирования показана на рис. 12.

Рис.12. Блок-схема основного алгоритма метода.

Ионный поток характеризовался угловым распределением и энергетическим распределением. Для упрощения расчетов функцию распределения ионов по энергиям считали моноэнергетической. Функция распределения ионов по углам (ФРИУ) выбиралась нормальной:

- () = exp2, 2 где Цслучайная величина на отрезке [0,1].

i - среднеквадратическое отклонение ионов зависит от их температуры и определяется выражением: i=arctan(kTi/Ei)0,5, где kЦконстанта Больцмана, Ti - температура ионов, Ei - энергия ионов (эВ). Тепловую энергию ионов задавалась равной 0.2-0.5 эВ. При kTi = 0.2 эВ и Ei = 90 эВ величина i равнялась 2.7.

Правильность работы алгоритмов предлагаемого метода проверялась на простых специальных тестах, таких как изотропное травление Si атомами фтора через узкую маску при разной вероятности реакции атомов фтора с Si (рис.13) Выбор коэффициента вероятности реакции Si - F выбирался исходя из сопоставления результатов моделирования с экспериментом.

a) б) Рис.13. а) Моделирование изотропного травления Si при диффузном распределении потока атомов F и разной вероятности реакции PF-Si.. б) вид профиля канавки травления Si в плазме SF6.

Исходя из сопоставления экспериментальных данных и результатов моделирования вероятность реакции атомов фтора с Si, была выбрана равной 0.3.

При формировании ВА микроструктур одним из основных факторов определяющих форму профиля образующихся структур является угловое распределение ионного потока. Моделирование профиля канавок возникающих при травлении Si ионами фтора с разным угловым распределением ионного потока i показало, что с увеличением i наблюдалось увеличение уширения канавки (рис.14а). Глубина канавки при этом резко падала (рис.14б). Максимальное уширение канавки наблюдалась на глубине определяемое критическим углом th = 2arctg , отклонения ионов, и аспектным отношением А.

2A Результаты моделирования показали, что при наличии даже небольшого углового отклонения в ионном потоке канавки с вертикальными стенками получить нельзя.

а) б) с) Рис. 14. а) Профили канавок в Si, полученные при ионно-лучевом его травлении со среднеквадратичным отклонения ионов i равным 1.15, 2.5 и 10.0. б) изменение глубины канавки в процессе ее травления, с) определение критического угла отклонения ионов. th.

Для их получения необходимо на определенных стадиях их формирования вводить пассивацию стенок канавки.

Моделирование процесса с часточередующимися стадиями требует от модели более высокой вычислительной точности, так как при многократном повторении циклов процесса, погрешность возрастает в результате накопления больших ошибок в вычислении. Поэтому при моделировании Bosch- процесса важно было подобрать параметры процесса, на основе которых профиль структур моделирования наиболее точно совпадали с экспериментальными данными. Для калибровки модели параметры модели подбирались таким образом, чтобы длительности стадий травления и пассивации соответствовали условиям эксперимента. Основными задаваемыми параметрами при моделировании являлись: поток ионов и радикалов, энергия ионов на стадии пассивации. При моделировании осуществляли варьирование вероятности реакции прилипания СFна стадии пассивации и количество падающих частиц на стадии травления и пассивации. При этом их отношение соответствовало их отношению в эксперименте. Длительность цикла составляла 10 с (6 с травление, 4 с пассивация), число циклов -14. Результаты моделирования находились в хорошем соглавии с экспериментом (рис.15).

а) б) Рис. 15. Вид канавки шириной 500 нм в Si, полученной: а) - после травления в плазме SF6/C4F8 в циклическом двухстадийном процессе за 14 циклов; б) - при моделировании формирования профиля канавки такой же ширины (14 циклов).

Глубина канавки 1.6 мкм.

В шестой главе был предложен метод формирования высокоаспектных микро-и наноструктур на поверхности SiO2 и Si на основе последовательных процессов плазменного осаждения/травления в плазме С4F8 и С4F8/SF6, соответственно. Представлена реализация метода и показана принципиальная возможность получения структур нанометровых размеров с его использованием.

Метод может быть использован в технологии наноэлектроники с целью не литографического уменьшения размеров рисунка маски, переносимого в нижележащий слой. Принцип метода представлен на рис.16а-в. На исходный рисунок маски в виде канавки, сформированный литографическим способом в слое фоторезиста (рис.16а), во фторуглеродной плазме при низкой энергии осаждалась полимерная пленка. Пленка осаждается на дно и боковые стенки, тем самым заужая ширину канавки на двойную толщину боковой пленки (рис.16б). На следующей стадии анизтропного и селективного травления нижележащего слоя Si или SiO2 по отношению к фторполимерной пленке (рис.16в) осуществлялся перенос рисунка маски в нижележащий слой с меньшими размерами.

dП d ФР ФР Si Si а) б) ПП ФР d Si в) Рис.16 а-в. Последовательность стадий формирования МН структур с размерами меньшими, чем исходной маске. (Пояснения в тексте).

Для реализации метода необходимо знать основные закономерности процесса ионно-стимулированного осаждения ФУП на плоскую и на структурированную поверхность. Об ионно-стимулированном характере процесса осаждения свидетельствовала зависимость скорости осаждения ФУП от энергии бомбардирующих ионов (рис.17) в плазме C4F8. Такая зависимость наблюдалась, когда ионная бомбардировка является лимитирующей стадией процесса и когда потоки радикалов СFх превышали поток ионов на поверхность более чем в 500 раз.

Плотность ионного потока при этом составляла 2,5х1015 см-2 (0.4 мА/см2).

Рис.17. Зависимость скорости осаждения ФУП в плазме С4F8 от энергии бомбардирующих ионов и моделирования такого процесса.

Использование созданного программного комплекса позволило провести моделирование такого процесса. Заложенный в модель механизм ионно-стимулированного осаждения ФУП был аналогичен механизму его ионно-стимулированного травления. При столкновении иона с поверхностью происходила активация ячейки попадания иона, в результате чего при последующем попадании в нее N-го количества радикалов CFx их вероятность прилипания увеличивалась в несколько раз. Согласно литературным данным вероятность прилипания радикалов СF2 составляла 10-3-10-2. Параметром модели при этом являлся коэффициент увеличения начальной (радикальной) вероятности прилипания радикалов СF2 и длительность активации. При моделировании учитывалось, что ионное стимулирование наблюдалось при энергии ионов до эВ. При ее превышении другой конкурирующий процесс - травление ФУП становился преобладающим. Анализ состава поверхности ФУП методом РФЭС показывал, что в этом случае наблюдалось повышение отношения С/F на поверхности ФУП. Это свидетельствовало о распылении в с поверхности более насыщенных и более летучих СFx(x>2) радикалов.

О правильности представленной модели свидетельствовали результаты моделирования заполнения топографически развитой структуры полимерной пленкой (рис.18). В соответствии с экспериментальными данными при низкой вероятности реакции на вершине, дне и стенках канавки образовывалась одинаковой толщины полимерная пленка (рис.18а, б). Ее толщина увеличивалась с увеличением энергии ионов, но при этом на боковых стенках она была меньше (рис.18г, д). Полимерная пленка не образовывалась в местах затенения ионов - под маской (рис.18а), где осаждение ФУП было чисто радикальным.

500 нм 1мкм А) Б) в) Ei=0 г) Ei =10 эВ д) Ei =60 эВ е) Ei =100 эВ Рис.18а-д) Вид осаждения ФУП в плазме С4F8 на дно и стенки канавки с небольщим аспектным отношением. C4F8, P=0,3 Pa, W =800 W, Q=20 нсм3/мин, Vdep = 0.6 мкм/мин. (Пояснения в тексте).

Характер осаждения ФУП в глубокие канавки зависел от многих параметров, в том числе от их аспектного отношения. Так в плазме С4F8+He при небольшой мощности ВЧ смещения (20 Вт), когда аспектное отношение канавки изменялось от 0,3 до 1,5 уже обнаруживался апертурный эффект при осаждении. Боковые стенки канавки узких канавок были вертикальными, но вершине наблюдалось сглаживание угла (рис.19а), что характерно для ионно-стимулированного осаждения ФУП. Толщина ФУП в канавках с высоким аспектным отношением (рис.19б) была намного ниже на дне канавки, чем на вершине.

а) 60 нм 100 нм Рис. 19. а - Осаждение ФУП в канавку шириной 300 нм в плазме С4F8+He, б - осаждение ФУП в плазме С4F8 +SF6 (40/7,5) в канавку глубиной 20 мкм с аспектным отношением A~7 при Wsb=0, U=-9 В. hфуп=400 нм.

Для реализации метода формирования канавок с размерами элементов меньшими, чем в маске необходимо чтобы ее стенки были вертикальными. Это необходимо для проведения следующей стадии травления SiO2 через такую маску. На рис.19,б представлены примеры формирования МН структур в слое SiO2 в комбинированном осаждение/травление процессе во фторуглеродной плазме CHF3+Н2 и Si в плазме С4F8/С4F8+SF6. В SiO2 были получены канавки шириной нм при исходной их ширине 200 нм). Причем на дне канавок вблизи стенок наблюдались характерные углубления, свидетельствующие, что травление происходило при достаточно высокой энергии ионов (~200 эВ). Таким образом, показана принципиальная возможность формирования структур с размерами элементов меньшими, чем размеры маски.

В седьмой главе представлены экспериментальные результаты и результаты моделирования формирования высокоаспектных (ВА) и сверхвысокоаспектных (СВА) субмикронных структур в кремнии в циклическом двухстадийном процессе травление/пассивация в плазме SF6/C4F8. Анализируются эффекты формирования ВА структур, такие как стоп - эффект, эффект самоформирования микроигл, апертурный эффект и способы их подавления. Представлены результаты моделирования канавок нанометровой ширины со сверхвысокоаспектным отношением (А~100).

Исследования формирования ВА канавок в Bosch-процессе проводились в реакторе второго типа (рис.1б). Условия проведения процесса на каждой из стадий были следующими: Стадия травления. Q(SF6)=100 нсм3/мин, Q(C4F8)=10 нсм3/мин, Wсм=20-80 Вт. Р=3.0-4,5 Па. Стадия пассивации: Q(C4F8)= 40 нсм3/мин, Q(SF6)=нсм3/мин, Wсм=5 Вт. Р=1.2 Па.

Реализацию глубокого, анизотропного травления Si в циклическом травление/пассивация процессе, можно показать на примере моделирования такого процесса. Канавки с вертикальными стенками возникают при определенных длительностях стадии травления Si в плазме SF6 и последующей стадии осаждения ФУП плазме C4F8. При короткой длительности стадии пассивации наблюдалось травление с боковым подтравом (рис.20а).

а) t2=2 c б) t2=4 c в) t2=6 c г) t2=8 c д) t2=10 c Рис.20. Изменение профиля канавки с увеличением длительности стадии пассивации с 2 до 10 с.

С увеличением времени пассивации наклон стенок канавки изменялся от отрицательного угла к положительному (рис.20б-д). При большой пассивации травление канавки скорость травления замедлялась и на боковых стенках образовывалась фторуглеродная полимерная пленка. Все эффекты искажения профиля канавок, наблюдались и в эксперименте. При t=6 c стенки канавки были вертикальными. Очевидно, что для формирования канавок с вертикальными стенками необходимо поддерживать строгий баланс между процессами травления и пассивации боковой стенки канавки. Основной принцип реализации ВА структур - поддержание минимально возможной толщины ФУП на боковых стенках с увеличением глубины канавки нарушался. Толщина пассивирующей, полимерной пленки на боковой стенке, также как и поток радикалов, ионов на дно стенки уменьшалась. Это приводило к возникновению эффекта бокового травления (bowing) и замедлению скорости травления канавки во времени (апертурный эффект), к прекращению травления. Уменьшение толщины ФУП с увеличением глубины канавки можно объяснить своеобразным загрузочным эффектом. Чем глубже канавка, тем больше площадь ее боковой поверхности и тем меньше толщина ФУП при постоянном потоке ФУ радикалов. Поэтому для формирования ВА структур условия пассивации должны усиливаться по мере увеличения глубины канавки.

Обычно при глубоком травлении Si при постоянных условиях процесса удается получить ВА структур с аспектным отношением не более 20 (рис.21а).

Рис.21. Вид высокоаспектных микроканавок. а) Цэксперимент, б)-моделирование канавок шириной 100, 200 и 500 нм.

Это так называемое критическое аспектное отношение, зависящее от а) б) величины среднеквадратичного отклонения ионов. При энергии ионов 100 эв (Ucм=80 В) и Тi =0,4 эВ, этот угол равняется 20. При таком уловом распределении все ионы достигают стенок канавки до аспектного отношения 13.

При моделировании формирования канавок шириной 100 и 200 нм было обнаружено значительное их уширение. Кроме того, глубина травления узких канавок была меньше, чем высоких, и их дно было заостренным. Такие эффекты также наблюдались в эксперименте, что подтверждает правильность модели.

Апертурный эффект, проявляющийся в более низкой скорости травления узких канавок, характеризовался также замедлением скорости травления во времени.

Такое замедление обычно объяснялось уменьшением потока частиц на дно канавки (кнудсеновский поток) с увеличением его аспектного отношения. Результаты моделирования также показывали, скорость травления глубоких канавок в Boschпроцессе также приблизительно описывалась моделью Кнудсена (рис. 22). Точного согласия и не должно быть, так в Bosch-процессе лимитирующая стадия процесса должна изменяться с увеличением глубины канавки.

Рис.22. Зависимость нормированной скорости травления канавки шириной 0.1 и 0.2 мкм от аспектного отношения канавки при моделировании и соответствии с моделью Кнудсена при = 0,3 и 0,5.

Апертурный эффект проявлялся также в разной скорости травления Si при формировании структур разного вида: высоких столбчатых структур, длинных глубоких канавок и отверстий (каналов) (рис.23). Однако в этом случае лимитирующей стадией являлась не доставка атомов фтора на дно канавки, а ионная бомбардировка. Травление таких высокоаспектных структур осуществлялось с постепенным повышением пассивации и увеличением энергии бомбардирующих ионов. Скорость травления таких структур с размерами - 200, 2, 1 и 0.5 мкм падала в соответствии с увеличением их аспектного отношения (рис.24а). Падение скорости травления происходило в соответствии с уменьшением 2 мкм 2 мкм Рис.23. а) Вид микроканавок и микростолбиков (d=1 мкм) и б) микростолбиков и микроканалов в Si, а также микроигл в широких каналах, получаемых в режиме сверхвысокоаспектного травления.

ионного потока на дно канавки в результате его затенения. Эксперимент и моделирование показывали, что травление СВА структур необходимо проводить при низком давлении (Р <3 Па) и высокой энергии ионов(Ei>100 эВ). В этом случае угловое распределение ионов будет узким и как показало моделирование можно V, мкм/мин 2,0 тр 1,Г 1,1 мкм 2 мкм 0,5 мкм 1,1,А 1,0 Б 0,В 0,0, 3 мкм 0,0,0 5 10 15 20 25 30 35 40 45 50 55 60 б) А, отн.ед Рис. 24. а - зависимость скорости травления структур различной формы; А, Г столбики, Б - канавки, В - колодцы разной ширины от их аспектного отношения при энергии ионов на стадии травления 90 эВ (А, Б, В) и 80 эВ (Г). б) - вид сверхвысокоаспектных столбчатых Si (Н= 65 мкм, 0,5 мкм) структур.

получить канавки с А=80 (рис. 25). В модель было заложено, что вероятность реакции атомами фтора из плазмы низка (0.1), а отношение потоков ионов и радикалов было высоким и равнялось 0.02. Поэтому основным поставщиком атомов фтора являлись ионы SF5+, которые диссоциировали при столкновении и реагировали с Si с вероятностью 1. Моделирование формирования СВА канавок осуществлялось на примере травления канавок шириной 32 нм. Травление осуществлялось в два этапа. На первом этапе травление проводили до А=23 при энергии ионов 150 эВ, а на втором до А=80 и энергии 250 эВ. При этом на втором этапе была усилена стадия пассивации.

Рис. 25. Вид канавок после: а) - первого и б) - 2-го этапов травления. (Пояснения в тексте).

Необходимым условием формирования структур с высоким аспектным отношением являлось отсутствие зарядового эффекта. При травлении ВА микроструктур его отсутствие объясняется тем, что тонкая пассивирующая полимерная пленка на боковой стенке является проводящей. Однако толстая полимерная пленка, осаждающаяся на боковой стенке была диэлектриком. В результате ее зарядки происходило отклонение ионов на стенки в результате чего полимерная пленка осаждалась на вершине канавки. Пассивирующая а) б) полимерная пленка не проникала глубоко в в узкие канавки и поэтому ее в канавки можно осуществить только до определенного аспектного отношения. Осаждение ФУП на боковые стенки возможно только при большом отрицательном потенциале смещения, когда ФУП не образовывалась на вершине канавки. Эти результаты показали, что во фторуглеродной плазме можно проводить пассивацию боковых стенок только высокоэнергетической ионной бомбардировке.

3 мкм 1 мкм а) б) Рис.26. а) СВА микроканавок (Н=56 мкм, d=1 мкм после осаждения на них полимерной пленки, б) вид заполнения канавок ФУП на вершине канавки при Ei=80 эВ.

При формировании ВА микроструктур в Bosch-процессе при определенных его параметрах наблюдался эффект образования микроигл. В режиме ВА травления микроиглы возникали в широких канавках, в том время как в узких 1-2 мкм они не наблюдались (рис.23). Механизм возникновения микроигл в двухстадийном травление/ пассивация процессе представлялся следующим. Пусть на поверхность Si произошло осаждение фторуглеродной полимерной пленки (ФУП). На следующей стадии ее травления, а затем травления Si, она стравливалась не полностью. На поверхности Si оставались связанные с ней наноразмерные углеродные включения, которые являются зародышем образования микромаски.

Включения на поверхности располагаются случайным образом в зависимости микроскопической неоднородности толщины ФУП и ее травления. Как показали эксперименты, такие углеродные включения наиболее быстро образуются в плазме SF6 при интенсивной ионной бомбардировке. Структура полимерной ФУП является сетчатой, и ионная бомбардировка сдирает шубу из слабосвязанных CxFy радикалов оставляя каркас из С-С связей. На рис. 26 показаны такие нанопроволочные структуры. На последующей стадии пассивации на эти углеродные остатки осаждение ФУП происходит быстрее, чем на поверхность Si.

а) б) Рис.26. Вид нанопроволочных структур на вершине игл. а) после стадии травления б) после стадии осаждения на них полимерной пленки.

В результате этого на каждом цикле травление/пассивация происходит накопление С-С связей, рост зародыша микромаски, в результате чего на определенной стадии начинаются расти микроиглы. Таким образом, цикличность процесса является необходимым условием быстрого образования микроигл.

Моделирование самоформирования микроигл на основе предложенного механизма показало, полное согласие с экспериментом (рис.27). Образование микроигл происходит только в широких канавках, в которых на стадии пассивации осаждалась толстая фторуглеродная пленка На вставках показано образование. Рис.27. Моделирование формирования канавок разной ширины (100 нм, 330 нм, 1.0 мкм) в Si в циклическом травление-пассивация процессе в плазме SF6/C4F8 и ФУП наномаски образование наномаски из фторполимерной пленки и микростолбчатых структур из ФУП на боковых стенках столбчатых наноструктур.

Результаты моделирования показывали, что в режиме сверхвысокоаспектного травления глубина травления в узких и широких канавках была приблизительно одинаковой. Если бы в этом режиме не происходило образование микроигл, то в этом режиме можно было реализовать аспектнонезависимое травление.

В Заключении приведены основные выводы, которые являются положениями, выносимыми на защиту.

Публикации по теме диссертации.

1. Амиров И.И,, Вихарев А.В., Изюмов М.О. Травление материалов микроэлектроники в галогенсодержащей плазме ВЧ индукционного разряда пониженного давления Материалы 2 Международного Симпозиума по теоретической и прикладной плазмохимии. Иваново 1995. С.396-32. Amirov I.I., Izyumov M.O. Etching of microelectronic materials in a reactor with RF Цinductive plasma source of at low pressure.// Third Russian-Chinese Symposium.

Kaluga.Russia.1995. P.3. Амиров И.И., Изюмов М.О. Характеристики травления SiO2 и Si во фторуглеродной плазме ВЧ-индукционного разряда пониженного давления. // Микроэлектроника. 1996. Т.25. №3. С. 233-24. Амиров И.И. Ионно-химическое травление кремния и окиси кремния в многокомпонентной плазме. // Материалы 13 Международной конференции УВзаимодействие ионов с поверхностьюФ М. 1997. С.149-152.

5. Амиров И.И,, Плазменное травление материалов в индуктивной плазме для целей микротехнологиии. //Тезисы докладов 3 Международной конференции УМикроэлектроника и информатикаФ Москва, Зеленоград. 1997. с.145-16. Амиров И.И. Ионно-химическое травление кремния и диоксида кремния в многокомпонентной плазме. Труды ФТИАН. - М.Наука, 1997.Т.12.С.19-36.

7. Амиров И.И Федоров В.А., Буяновская П.Г., Изюмов М.О., Савинский Н.Г.

Травление плазмополимеризованных кремнийсодержащих органических пленок в кислородной плазме. // Тезисы докладов 8 Международного Симпозиума УТонкие пленки в электроникеФ Харьков.1997. С.34.

8. Амиров И.И, Магунов А.Н.. Теплообмен плазмы низкого давления с поверхностью. IX Конф. по физике газового разряда. Рязань. 1998. Ч.1. С.126127.

9. Amirov I.I., Izyumov M.O. The influence of ion stocked on erosion polymer films in oxygen ICP torch. // 5 European Conference on Thermal Plasma Processes.

St.Peterburg. 1998. Р. 210. Amirov I.I., Iziomov M.O. The investigation of oxygen ICP torch at low pressure and in a heterogeneous magnetic fild. //Proceedings of Fifth European Conference on Thermal Plasma Processes. // S.Petersburg. 1998. Р.236.

11. Амиров И.И.,.Изюмов М.О., Бердников А.Е. Процессы травления резистов в ректоре с ВЧ-индуктивным источником плазмы. // Микроэлектроника. 1998.

Т.27. №1. С. 22-27.

12. Амиров И.И., П.Г.Буяновская Особенности травления пленки полиамидокислоты в кислородной и аргоновой плазме ВЧ индуктивного разряда. // Химия высоких энергий. 1998. Т. 32. №5.С. 424-425.

13. Амиров И.И., Федоров В.А., Савинский Н.Г., Буяновская П.Г., Изюмов М.О.

Травление плазмополимеризованных кремнийсодержащих органических пленок в кислородной плазме. // Химия высоких энергий. 1998. Т.34..№5.С. 235-244.

14. Амиров И.И., Изюмов М.О. Ионно-инициированное травление полимерных пленок в кислородсодержащей плазме высоко-частотного индукционного разряда. // Химия высоких энергий. 1999. Т. 33.№2. Р.147-151.

15. Амиров И.И., Изюмов М.О., Морозов О.В., Федоров В.А. Плазмохимические процессы травления тонких пленок в реакторе с ВЧ индукционным источником плазмы. // Тезисы докладов V Российской научно-технической конференциии УВысокие технологии в промышленности России.ФМосква. МГТУ им. Баумана.

1999. С. 236-238.

16. Амиров И.И. О механизме взрывного травления пленки полиамидокислоты в неравновесной кислородной плазме. // Журнал технической физики. 2000. Т. 20.

Вып.5. С. 106-108.

17. Амиров И.И., Буяновская П.Г. Нестационарный совместный процесс травления и имидизации пленки полиамидокислоты в неравновесной кислородной плазме.// Химия высоких энергий. 2000. Т. 34. №6. С.451-455.

18. Амиров И.И., Федоров В.А Анизотропное травление субмикронных структур в резисте в кислородcодержащей плазме ВЧ индукционного разряда. // Микроэлектроника. 2000. Т. 29. №1. С.32-41.

19. Амиров И.И., Федоров В.А.Создание 0.5 мкм структур методом сухой электроно-литографии и плазменных анизотропных процессов травления. // Микроэлектроника. 2000. Т. 29. №5. С. 311-315.

20. Морозов О.В., Амиров И.И Осаждение пленок SiO2 в SiH4+O2 плазме ВЧиндукционного разряда низкого давления. // Микроэлектроника. 2000. Т.29. №3.

С.153-158.

21. Magunov A.N., Amirov I.I. Effect of surface material and temperature on heat power transferred with ion flux from low-pressure plasma. // Proc.XXV ICPIG. Nagoya, Japan, 2001.V.1.P.79-80.

22. Амиров И.И., Магунов А.Н. Температурная зависимость мощности, переносимой ионным потоком из плазмы на поверхность. // Материалы XXVIII Звенигородской конференции по физике плазмы и УТС. Звенигород. 2001. p.1.

23. Амиров И.И Современные плазменные процессы травления технологии микроэлектроники. // В. сб. тезисов докладов первой Всероссийской конференции Прикладные аспекты химии высоких энергий. Москва. 2001.

С.87-88.

24. Амиров И.И., Морозов О.В., Изюмов М.О. Плазмохимические процессы травления и осаждения материалов микроэлектроники в реакторе высокоплотной плазмы. // Сборник материалов 3 Международного симпозиума по теоретической и прикладной плазмохимии.Т.2. Плес. Иваново.2002. Р.484485.

25. Амиров И.И., Морозов О.В. Эффект образования выступов на дне канавок в SiO2 при травлении в высокоплотной фторуглеродной плазме. // Тезисы докладов Всероссийской научно-технической конференции Микро- и наноэлектроника 2001. Звенигород, октябрь 2001.

26. Amirov I.I., Izyumov M.O., Morozov O.V., Shumilov A.S. Formation of micro- and nanostructures in Si and SiO2 using plasma etching and deposition process. // International Conference ФMicro-and nanoelectronics -2003Ф Moscow, Zvenigorod,Russia. P.O2-50.

27. Амиров И.И., Шумилов A.C. Влияние ионной бомбардировки на травление канавок в кремнии в высокоплотной фторуглеродной плазме. Материалы XVI Международной конференции Взаимодействие ионов с поверхностью Москва. 2003. Т.2. С.302-305.

28. Amirov I.I., Zhuravlev I.V., Kibalov O.S., Lepshin P.A., Smirnov V.K. // Plasmachеmical etching of wave-orderid structure formed on amorfhous silicon surface by nitrogen ion bombudment. Phys.Low-Dim.Struct., 2003. V.9/10. P.51-58.

29. Амиров И.И., Морозов О.В., Изюмов М.О. Травление кремния и диоксида кремния в высокоплотной плазме ВЧИ разряда низкого давления. // Химия высоких энергий. 2003. Т.37.№5. С.373-379.

30. Амиров И.И., Морозов О.В., Изюмов М.О., Кальнов В.А., Орликовский А.А., Валиев К.А. // Плазмохимическое травление глубоких канавок в кремнии с высоким аспектным отношением для создания различных элементов микромеханики. // Микросистемная техника. 2004. Т.12. С.15-18.

31. Амиров И.И. О механизме ионно-стимулированного травления SiO2, Si во фторуглеродной плазме. // Материалы XVII Международной конференции Взаимодействие ионов с поверхностью Звенигород. 2005. С. 299-332. Амиров И.И., Морозов О.В., Изюмов М.О Анизотропное травление глубоких канавок в кремнии во фторсодержащей плазме. // Сборник трудов Международного симпозиума по теоретической и прикладной плазмохимии.Т.2.

Иваново.2005. С.653-656.

33. Амиров И.И., Алов Н.В. Осаждение фторуглеродной полимерной пленки в низкотемпературной C4F8+SF6 плазме ВЧИ разряда. // Сборник трудов Международного симпозиума по теоретической и прикладной плазмохимии.Т.2.

Иваново.2005. С.653-656.

34. Амиров И.И., Морозов О.В., Изюмов М.О., Кальнов В.А., Орликовский А.А., Валиев К.А. //Плазменные процессы глубинного травления Si и SiO2 для целей микротехнологии. // Квантовые компьютеры, микроЦ и наноэлектроника:

физика, технология, диагностика и моделирование. Ред. Орликовский. М.Наука, 2005 (ФТИ АН; Т18). С.173-189.

35. Патент на изобретение №2293796. Плазмохимический реактор низкого давления для травления и осаждения материалов. 11.01.2005 г.

36. Патент на изобретение №2300158. Способ формирования субмикронной и нанометровой структуры. 9.06.2005 г.

37. Амиров И.И., Алов Н.В. Осаждение фторуглеродной полимерной пленки в плазме высокочастного индукционного разряда в перфторциклобутане и его смесей с гексафторидом серы. // Химия высоких энергий. 2006. Т.36. №4. С.3539.

38. Шумилов А.С., Амиров И.И.. Моделирование формирования глубоких канавок в кремнии в плазмохимическом, циклическом травление/пассивация процессе // Микроэлектроника. 2007. Т.36. № 4. С. 295-305.

39. Амиров И.И. Формирование микроструктур со сверхвысоким аспектным отношением в кремнии в циклическом травление/пассивация процессе в плазме SF6/C4F8. // Тезисы доклада V Международной конференции по актуальным проблемам физики и диагностики кремния, нанометровых структур и приборов на его основе. КРЕМНИЙ -2008. Черноголовка. 2008. С.196.

40. Шумилов А.С., Амиров И.И., Лукичев В.Ф. Моделирование формирования глубоких с разным профилем канавок в кремнии в плазмохимическом, циклическом процессе. // Труды V Международного Симпозиума по плазмохимии. Иваново. 2008. С.285-289.

41. Амиров И.И., Изюмов М.О. Влияние температуры стенки реактора на параметры фторуглеродной плазмы высокочастотного разряда низкого давления. // Труды V Международного Симпозиума по плазмохимии. Иваново.

2008. С.142-145.

42. Постников А.В., Косолапов И.Н., Куприянов А.Н., Амиров И.И., Магунов А. Н.

Автоматизированный лазерный термометр для исследований плазменных процессов микротехнологии. // Приборы и техника эксперимента 2008. № 2. С.

173-176.

43. Амиров И.И, Шумилов А.С. Эффекты формирования сверхвысокоаспектных микроструктур в кремнии в циклическом процессе в плазме SF6/C4F8. // Труды V Международного Симпозиума по плазмохимии. Иваново. 2008. С.569-573.

44. Амиров И.И., Алов Н.В. Формирование микроструктур на поверхности кремния во фторсодержащей плазме в циклическом процессе травление/пассивация. Химия высоких энергий. 2008. Т.41.№4. С.164-168.

45. Амиров И.И., Шумилов А.С. Механизм формирования микроигл на поверхности кремния во фторсодержащей плазме в циклическом травление/осаждение процессе. // Химия высоких энергий. 2008. Т.41. №5. С.

446-450.

46. Амиров И.И., Морозов О.В., Постников А.В., Кальнов В.А., ОрликовскийА.А., Валиев К.А. Плазменные процессы глубокого травления кремния в технологии микросистемной техники. Труды ФТИАН. Квантовые компьютеры, микронаноэлектроника. М.Наука. 2009. Т. 20. С.159-174.

47. Шумилов С.А., Амиров И.И., Лукичев В.Ф. Моделирование эффектов формирования глубоких канавок в кремнии в плазмохимическом циклическом процессе. Микроэлектроника. 2009. Т. 38. №6.С. 428-435.

48. Amirov I.I., Shumilov A.S., Kupriayanob A.N., Lukichev V.F. Modelling of plasma reactive ion etching of ultra high aspect ratio Si trenches. УMicro- and nanoelectronics - 2009Ф. 2009, Moscow-Zvanigorod, Russia. Book of abstracts, O3-22.

Авторефераты по всем темам  >>  Авторефераты по техническим специальностям